作者:rocker

设计验证项目中的强大功能

  对于任何设计验证 (DV) 项目,遵循最佳编程规范可让团队成员的日子过得更轻松。另一方面,当代码…
Read more

导入SystemVerilog程序包意味着什么?

  在上次网络研讨会中,我解释了在SystemVerilog中导入程序包时会发生什么情况。不过,仍然…
Read more

UVM和C-完美结合

  摘要: SystemVerilog [1]和 UVM [2]为验证团队提供结构和规则。它使得在许…
Read more

连接UVM与混合信号设计

摘要 随着混合信号SoC设计数量的增加以及相应的混合信号验证的需求,UVM作为一种解决方案被提出,即采用用于复…
Read more

DVT |自动重构

    设计和验证一个大型、复杂的半导体器件都是与编码有关。设计意味着绘制大量的原理图,并…
Read more

UVM交互式调试库:缩短调试周转时间

摘要: 与其他硬件验证语言(Hadware Verfication Languages,HVL)(例如Spec…
Read more

DVT Error corrections/quick fix功能

题目:键入时纠正设计和验证编码错误 摘要:   很少有像大型复杂半导体器件的设计和验证那样令人畏惧的…
Read more

浅谈可移植激励规范(PSS)复用策略

  译者按 :当今硬件设计变得愈加复杂,如何创建出足够的测试来保证设计的正确性是每个硬件工程师需要面…
Read more

你选对存储结构了吗?你会玩UVM配置数据库了吗?

  摘要:来自Chris Spear五月份的博客 20200528 使用SystemVerilog中…
Read more

UVM序列的乐趣——编码和调试

原文作者:Rich Edelman – Mentor, A Siemens Business 软文…
Read more

陕ICP备18003383号-1